O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?

March 28, 2024

últimas notícias da empresa sobre O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?

O multi-patterning é uma técnica para superar as limitações litográficas na fabricação de chips.O multi-patterning permite que os fabricantes de chips imagem os projetos de IC em 20 nanômetros e abaixo.

Em termos gerais, o multi-patterning tem duas categorias principais: pitch splitting e spacers.Os espaçadores incluem padrões duplos auto-alinhados (SADP) e padrões quadruplos auto-alinhados (SAQP). Tanto a divisão de passo quanto as técnicas de espaçamento podem se estender ao padrão octoplo.

últimas notícias da empresa sobre O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?  0

O primeiro tipo, o pitch splitting, é usado principalmente em lógica.O duplo padrão refere-se quase sempre ao processo de cisalhamento por litógrafos (LELE)Na fabricação de wafers, o LELE requer duas etapas de litografia e gravação independentes para definir uma única camada.O LELE pode ser dispendioso, uma vez que duplica as etapas do processo na litografia.

Inicialmente, esta técnica separa layouts que não podem ser impressos com uma única exposição em duas máscaras de menor densidade.Isto forma dois padrões mais grosseirosSão combinados e sobrepostos para permitir uma imagem mais fina na bolacha.

LELE (ou seja, duplo padrão) coloca novos requisitos de layout, verificação física e depuração para os designers.As cores são atribuídas às camadas de máscara com base nos requisitos de espaçamentoAs camadas de máscara são segmentadas ou decompostas em duas novas camadas a partir do layout original desenhado.

Uma decisão chave na metodologia é se os designers querem seguir um fluxo de design "incolor".seleção entre várias opções de decomposiçãoNaturalmente, qualquer fluxo de concepção requer compromissos.

No nó de 20 nanômetros, as fundições estão empregando vários fluxos diferentes de design de padrões duplos.Um dos fluxos mais comuns realmente não requer que a equipe de design para decompor suas camadas em duas coresNo entanto, em certos casos, os designers podem querer saber qual é a atribuição de cores.

Enquanto isso, no nó de 10 nm, os fabricantes de chips podem precisar recorrer a outra técnica de divisão de passo “patterning triplo”.LELELE é semelhante a LELENa fabricação de wafers, LELELE requer três etapas de litografia e gravação independentes para definir uma única camada.

No design, o padronização tripla requer que a camada original seja dividida em três máscaras.O padrão triplo pode parecer inofensivo de foraA construção de algoritmos de software EDA para automaticamente decompor, colorir e verificar camadas com padrão triplo é um desafio.Violações de padrão triplo podem ser muito complexas., e depuração pode ser difícil.

Enquanto isso, os espaçadores são a segunda categoria principal de multi-patterning.SADP/SAQP foi usado anteriormente para estender flash NAND para o nó 1xnm e agora está entrando no campo lógica.

O SADP é uma forma de duplo padrão, às vezes chamado de divisão de pitch ou duplo padrão assistido por lateral.O processo SADP usa um passo de litografia juntamente com etapas adicionais de deposição e gravação para definir características semelhantes aos espaçadoresNo processo SADP, o primeiro passo é formar mandrels no substrato. Em seguida, uma camada de deposição cobre o padrão. A camada de deposição é então gravada, formando espaçadores.A parte superior é submetida a passos de polimento químico mecânico (CMP).

O SAQP é essencialmente dois ciclos de tecnologia de padronização dupla de espaçador lateral. Padrões simples, incluindo aqueles em flash ou finFET, são realizados no SADP ou no SAQP. Nesta técnica,As linhas paralelas são formadas primeiroEnquanto isso, as camadas metálicas em DRAM e chips lógicos são mais complexas e não podem ser alcançadas através de SADP/SAQP. Estas camadas metálicas exigem LELE.A flexibilidade de concepção do SADP/SAQP é igualmente inferior à do LELE, enquanto as tecnologias do tipo LELE exigem via patterning.

SAQP significa Self-Aligned Quadruple Patterning.

De acordo com as informações disponíveis, o padrão quadruplo auto-alinhado (SAQP) é a técnica mais utilizada para padronização de características com pitches menores que 38 nm,Espera-se que alcancem tomadas até 19 nmO sistema integra essencialmente várias etapas de processo e tem sido empregado no padronização de barbatanas FinFET e 1X DRAM.permitir que as linhas inicialmente desenhadas a 80 nm de distância resultem em linhas espaçadas a 20 nm de distância (alcançando efetivamente uma resolução de 10 nm)Isto é significativo, uma vez que ultrapassa em muito a resolução de qualquer ferramenta de litografia de produção em massa, incluindo a EUV (que atinge uma resolução de 13 nm).

últimas notícias da empresa sobre O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?  1

O processo divide naturalmente as características em três grupos: núcleo, casca e limite (ver Figura 2).o limite forma uma grade que também precisa ser segmentadaPor conseguinte, o processo SAQP deve concluir com uma etapa de litografia, que corta ou recorta as características de concha e de fronteira previamente definidas.Núcleo e limite.

últimas notícias da empresa sobre O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?  2

Em outra variante do fluxo de processo SAQP (ver Figura 3), as características da casca são na verdade o restante primeiro material de espaçamento, enquanto o núcleo e o limite são materiais diferentes,o substrato ou o material de preenchimento do espaçoAssim, são representados com cores diferentes na Figura 2. O fato de serem materiais diferentes implica que eles podem ser gravados seletivamente.Isto oferece oportunidades para alcançar alguns padrões desafiadores.

últimas notícias da empresa sobre O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?  3

Uma aplicação particularmente útil é a combinação de características de pitch mínimo e pitch mínimo 2x. Esta combinação é tipicamente proibida em exposições únicas com k1 < 0.5Uma combinação particularmente assustadora são linhas de pitch mínimo com 2x interrupções de pitch mínimo (ver Figura 4, à esquerda).O padrão de difração das interrupções é muito mais fraco em comparação com o das próprias linhas porque ocupam uma área muito menorO seu desempenho também se deteriora muito mais rapidamente sob desfoque. Esta combinação também não pode ser fixada com recursos de assistência, uma vez que não há espaço para inserí-los para alcançar linhas de passo mínimas.Por outro lado,, através da gravação seletiva, as características da máscara podem passar através das linhas intermediárias (ver Figura 4, à direita).Isso simplifica muito o corte e evita possíveis erros de colocação da borda que podem ocorrer ao cortar separadamente em dois locais.

últimas notícias da empresa sobre O que é a tecnologia de quadruplo padrão auto-alinhado (SAQP)?  4

Para a gravação seletiva, três máscaras são necessárias - uma para definir as regiões separadas A / B, a segunda máscara para a gravação seletiva A e a terceira máscara para a gravação seletiva B. No entanto,A gravação seletiva (combinada com a SAQP) também permite tolerâncias de sobreposição maiores e um número mínimo de máscaras, permitindo assim a combinação de passo de linha mínimo e interrupções no dobro do passo de linha mínimo, tornando o multi-patterning mais fácil de lidar.

Em resumo, todos os processos de multipatterning auto-alinhados incluem as seguintes etapas:

  1. Impressão de marcas de mandril.
  2. Crescem paredes laterais nos padrões impressos.
  3. A remover os padrões das mandíbulas.
  4. Desenvolvendo os padrões finais entre as paredes laterais.
  5. Adicionar blocos dielétricos para alcançar o desejado espaçamento entre pontas no alvo final.
  6. À medida que avançamos em direcção a nós de tecnologia mais avançados, desenhando as camadas de metal críticas de back-end da linha (BEOL) com pitches mais agressivos, como 32 nanómetros,torna-se extremamente desafiadorNormalmente, as trincheiras são criadas nestas camadas BEOL, que são então preenchidas com metal na etapa final de metalização.são adicionadas camadas de bloqueio verticais perpendiculares às trincheiras, formando pequenos espaços metálicos de ponta a ponta.

    Dentro da indústria, várias opções foram consideradas para padronizar as camadas e blocos BEOL mais agressivos.Uma opção é combinar litografia de imersão com o que é conhecido como Metal Line Self-Aligned Quadruple Patterning (SAQP)No entanto, esta opção requer máscaras de blocos triplos e um processo de litografia tripla, o que aumenta o custo e a complexidade da solução proposta.Outra opção é usar diretamente litografia ultravioleta extrema (EUVL) para padronizar as camadas metálicas BEOL em uma única exposiçãoEmbora este processo de integração direta da EUVL seja simples e rentável, a fidelidade (como a forma) e a variabilidade dos padrões, bem como a fabricação de máscaras, não permitem que os padrões sejam mais precisos.Os resultados do estudo, especialmente para espaçamentos extremamente pequenos entre pontas.